Glossary

Power Performance Area – PPA

What is Power, Performance, Area (PPA)?

Power, performance and area (PPA) are metrics that are regularly used for comparing processor cores. Usually single figures are quoted which would make sense for hard physical IP but can be misleading for synthesizable soft IP. Soft IP is synthesized so the final area and power depend on many things such as synthesis constraints, choice of target technology, and process corner. PPA figures are usually quoted for a minimal core without interrupt controllers, on-chip debug, caches, etc. Instruction memories are a key contributor to subsystem area and power but are not included.

Power consumed by a processor core consists of static power and dynamic power. The static power depends on the core area while the dynamic power depends on clock frequency.

Performance is typically measured using synthetic benchmarks which provide a single figure but may bear no relationship to an actual software workload. Common benchmarks are CoreMark and DMIPS.

Area refers to the area of the core in the target technology. This is highly dependent on the configuration options chosen and on the synthesis constraints used.

Codasip glossary - image for PPA

Why PPA?

PPA figures are used to compare processor cores. Because they provide a simple set of figures of merit they are convenient to use. With synthesizable IP there are many pitfalls involved in comparing PPA figures objectively. With extendable or customizable processor IP there is considerably more PPA variation possible.

To go further, have a read at the following blog posts:

Getting started with Codasip